このページの本文へ

前へ 1 2 3 4 次へ

ロードマップでわかる!当世プロセッサー事情 第627回

3D積層技術Foverosを進化させて集積化と発熱低減を狙う インテル CPUロードマップ

2021年08月09日 12時00分更新

文● 大原雄介(http://www.yusuke-ohara.com/) 編集●北村/ASCII

  • この記事をはてなブックマークに追加
  • 本文印刷

 前回はプロセス、つまり前工程側の話を説明したので、今回は後工程側の話をしよう。

パッケージ技術の強化に余念がないインテル

 インテルはファウンダリービジネスを再開するにあたり、単に前工程だけでなく後工程のうち、パッケージ技術に関しても差別化要因が必要になっている。先に618回でAMDの3D V-Cacheに絡めてTSMCのパッケージ技術について概要を説明したが、これに相当する技術をインテルも用意してきた格好だ。

 そもそも昨今では、特にCoWoSのあたりから前工程と後工程の境があいまいになりつつある。もともとの定義で言えば以下の(1)~(4)が前工程、(5)~(7)が後工程という話だった。

(1) ウェハーの表面酸化
(2) 薄膜加工
(3) 配線パターニング
(4) 電極形成
(5) ダイシング(ウェハーからチップを切り出し)
(6) パッケージング(パッケージにダイを搭載して配線する)
(7) テスト

 ところが、CoWoSの場合は配線材料そのものもウェハーを使い、しかも配線密度が従来よりはるかに高くなった関係で、後工程工場の従来の実装設備では精度が足りず、それもあって当初は後工程工場に新規の設備を入れたり、一部作業を前工程工場に戻したりという騒ぎになったらしい。

 昨今では後工程工場もCoWoSのような2.5Dインターポーザーについては対応できるようになったが、TSMCで言えばInFOやSoICに関しては後工程工場に任せずにTSMC自身でパッケージングまでを済ませる方向にシフトしつつあり、その意味では前工程・後工程の境目が怪しくなってきている。インテルも当然こうしたことに対応して、自社のパッケージ技術の強化に余念がないわけだ。

配線方法に違いがあるEMIBとCoWoS

 さてまずはEMIB(Embedded Multi-Die Interconnect Bridge)について。標準パッケージと比べて配線密度を2倍に、伝送効率を4倍にできるというものであるが、ではTSMCのCoWoS(Chip on Wafer on Substrate)と何が違うのか? という話をまず説明しよう。

直接的な比較は難しいが、EMIBはCoWoSに比べるとやや配線密度は低めである

 下図が、これは何度も出てきたCoWoSである。CPUやGPUのダイは、まずダイと同じ材質の(つまり半導体の前工程を使って製造された)インターポーザーに、Microbumpと呼ばれる微小な半田ボールで接続される。このダイとインターポーザーの間のMicrobumpは、初期のCoWoSの場合で直径20μmほどである。

CoWoSの構造図

 インターポーザー内には配線が通っており、Die 1とDie 2をつなぐだけであればここで用事が済む。一方で外部に配線を出す場合には、インターポーザーの下にもMicrobumpが用意される。こちらのMicrobumpは直径80μmほどである。電源ピンや外部信号は、このMicrobump経由でパッケージに接続され、最終的にパッケージ底面のBump(こちらは直径250μm程度)で接続される。

 ちなみに電源配線などの場合、インターポーザー内で配線を引き回すと抵抗が増えて焼けてしまう可能性がある。そこでこうした外部との配線に関しては、インターポーザー内にTSV(垂直貫通電極)を設け、パッケージの上面からダイの底面までまっすぐ接続されるようになっている。

 これに対してインテルの提供するEMIBは下図のようになっている。つまりパッケージにインターポーザーが埋め込まれる形だ。このインターポーザーはダイ間の通信にのみ利用され、外部に出す信号や電源などはパッケージから直接ダイにつながる形になる。

EMIBの構造図

 実はこのEMIB、第1世代はいろいろ制約があった。最大のものは「HBMがそのまま接続できない」ということだろうか。HBM(High Bandwidth Memory)はあの狭いパッケージに3000本以上のピンがあるため、底面は下図のような形でMicrobumpが配されている(Microbumpの直径そのものは25μm)。

HBMの構造図

 EMIBでもギリギリ直接接続できそうな気もするのだが駄目だったそうで、なので例えば2017年に出たStratix 10 MXの場合、HBMを一旦シリコンインターポーザーに乗せ、これをEMIBでつなぐという不思議な構造だったらしい。ただそのEMIBも改良が進んでおり、実際Ponte VecchioはHBM2eをEMIBだけで接続できるようになっているし、EMIBそのもののBump Pitchもどんどん小さくなったとされる。

上図からもわかるように、Bump Pitchが55μmあればEMIBでHBMの接続は可能なはずだ

インテルのEMIBの紹介ビデオより。パッケージの上に茶色い長方形のものが11個載っているが、これがEMIBのインターポーザーそのものである

前へ 1 2 3 4 次へ

カテゴリートップへ

この連載の記事

注目ニュース

ASCII倶楽部

プレミアムPC試用レポート

ピックアップ

ASCII.jp RSS2.0 配信中

ASCII.jpメール デジタルMac/iPodマガジン