このページの本文へ

ロードマップでわかる!当世プロセッサー事情 第627回

3D積層技術Foverosを進化させて集積化と発熱低減を狙う インテル CPUロードマップ

2021年08月09日 12時00分更新

文● 大原雄介(http://www.yusuke-ohara.com/) 編集●北村/ASCII

  • この記事をはてなブックマークに追加
  • 本文印刷

3D積層技術Foverosの構造はInFOに酷似

 次がFoverosだ。現時点もでインテルはFoverosの詳細を公開していないため、正確なところは藪の中のままであるのだが、基本的にはTSMCのInFOにかなり近いものと思われる。InFOの構造は連載618回で紹介したが、要するに積層するチップの底面にインターポーザー(TSMCはRDL:Re-Distribution Layerと称している)を挟み、このインターポーザー同士をViaで接続するという仕組みである。

InFOの構造

 この仕組みなら、3層以上の積層もそう難しくない。実際Lakefieldの場合、DRAM、Compute Tile、Base Tile(PCH)という3層構造になっている。

3層構造になっているLakefield

 この説明の図版ではあたかもCompute TileとBase Tileが直接つながっているように見えるが、実際には下図のような構成だとすれば無理なく積層可能なのは納得である。

 さてこのFoverosは現時点ではまだ第1世代であるが、間もなく第2世代のFoverosが投入されるとともに、新たにFoveros Omni/Foveros Directと呼ばれる技術が発表された。

Foveros Omniはまだしも、Foveros DirectはもうFoverosとは無関係、という気もしなくはない

 まず第2世代Foverosだが、Bump Pitchを36μmまで縮めるとともに最大125Wまでの熱環境に対応できる、とされた。

わからないのが、なぜMeteor LakeがFoverosを使うのか? ということ。まさかGPU Dieは1つあたり96EUで、2つ重ねると192EUとかなのだろうか? Compute Dieの方も、1枚では例えば4L+4S構成で、これを2枚重ねると8L+8S構成になるのかもしれない

 ちなみにEMIBとの併用も可能で、それもあってPonte VecchioはHBM2eはEMIB経由だが、それ以外のコンポーネントは第2世代Foverosを利用して構築される、としている。

Ponte Vecchioは合計47タイルから構成される。内訳はRaja Koduri氏が説明している

カテゴリートップへ

この連載の記事

注目ニュース

ASCII倶楽部

プレミアムPC試用レポート

ピックアップ

ASCII.jp RSS2.0 配信中

ASCII.jpメール デジタルMac/iPodマガジン