このページの本文へ

ロードマップでわかる!当世プロセッサー事情 第594回

数は力? RISC-VベースのAIチップを開発するEsperanto AIプロセッサーの昨今

2020年12月21日 12時00分更新

文● 大原雄介(http://www.yusuke-ohara.com/) 編集●北村/ASCII

  • この記事をはてなブックマークに追加
  • 本文印刷

絶対性能を追求するET-Maxionは
2GHz以上の動作周波数

 各々の詳細はこの後で出てきた。まずはET-Maxion。2018年12月に開催されたRISC-V Summitで、Maxionが7nmプロセスで2GHz以上の動作周波数で動作することが明らかにされた。

TLB、BTB、ROBのサイズなどは常識的な範疇に収まり、SuperScalar/Out-of-Orderということを考えると、あまりハイエンドという感じはしない

とは言え、まだMicroOpへの変換などは最小限に留まっている模様。このあたりはもともとのRV64G(RISC-Vの64bit命令セット)が素直で、あまり内部命令への変換が必要でないこととも関係してそうだ

 パイプライン構造は4命令デコード/5命令発行という、BOOM v2の2命令デコード/4命令発行からずいぶん強化された感がある。フロアプランは下の画像に示す通り。

これは7nmプロセスでのフロアプランだそうだが、エリアサイズがどの程度かは公開されていない

 Esperanto Technologiesによれば、RISC-V命令がシンプルなので大分実装が楽だったとはするものの、HPF(Hardware Prefetch)やBPU(Branch Prediction Unit)がけっこうな面積を占めるとする。

 性能を、もともとのRocketとBOOM v2、それとCortex-A57/Cortex-A72とで比較したのが下の画像だ。

2018年当時は、もうCortex-A75やCortex-A76が発表されているので、決して最高速というわけではないが、Cortex-A7xシリーズに近い性能レンジになったとは言えるのかもしれない

 同一周波数あたりのSPECint 2006の性能はCortex-A72並であり、動作周波数は2GHzと比較的高いところを狙っている。

 もちろんアプリケーションプロセッサーとしてみるとこれで十分か? と言われたらやや心もとないところではあるが、もともとの発想は4096コアのET-Minionを使ってのAI処理の制御用にET-Maxionを使うことなので、これだけあれば十分なのかもしれない。

 ところでベクトル演算はET-Minionに実装されると書いたが、ET-Maxionに実装することも一応考慮したらしい。これを断念した理由は下の画像に延々と書かれているが、実装コスト(設計だけでなくそのテストのコストがバカにならない)を考えて諦めたとする。ただCompression(圧縮命令:ARMのThumb/Thumb2と同じ)は、コストパフォーマンスに見合うとしているそうだ。

ET-MaxionはRV64GC(64bit RISC-V命令をサポートし、G:基本命令+整数乗算+アトミック命令+単精度浮動小数点+倍精度浮動小数点と C:圧縮命令)をサポートする

カテゴリートップへ

この連載の記事

注目ニュース

ASCII倶楽部

プレミアムPC試用レポート

ピックアップ

ASCII.jp RSS2.0 配信中

ASCII.jpメール デジタルMac/iPodマガジン